我是靠谱客的博主 爱撒娇玫瑰,最近开发中收集的这篇文章主要介绍verilog将100mhz分频为1hz_分频程序(50Mhz-1Hz),觉得挺不错的,现在分享给大家,希望可以做个参考。

概述

数字电路课程设计功能款程序

library ieee; use ieee.std_logic_1164.all; entity fen is port (clk:in std_logic; q: out std_logic); end fen; architecture fen_arc of fen is begin process(clk) variable cnt: integer range 0 to 24999999; variable x: std_logic; begin if clk'event and clk = '1' then if cnt<24999999 then cnt:=cnt+1; else cnt:=0; x:= not x; end if; end if; q<=x; end process; end fen_arc;

该程序是将50MHz的频率变成1Hz的频率,若要分成其他频率的信号,自己修改程序。

最后

以上就是爱撒娇玫瑰为你收集整理的verilog将100mhz分频为1hz_分频程序(50Mhz-1Hz)的全部内容,希望文章能够帮你解决verilog将100mhz分频为1hz_分频程序(50Mhz-1Hz)所遇到的程序开发问题。

如果觉得靠谱客网站的内容还不错,欢迎将靠谱客网站推荐给程序员好友。

本图文内容来源于网友提供,作为学习参考使用,或来自网络收集整理,版权属于原作者所有。
点赞(63)

评论列表共有 0 条评论

立即
投稿
返回
顶部