概述
题目:用verilog实现4bit约翰逊(Johnson)计数器。
module Johnson_Counter(
input clk,
input rst_n,
output reg [3:0] johnson_cnt
);
//----------------------------------------------------
//johnson_cnt
always @(posedge clk or negedge rst_n)begin
if(rst_n == 1'b0)
johnson_cnt <= 4'b0000;
else
johnson_cnt <= {~johnson_cnt[0], johnson_cnt[3:1]};
end
endmodule
最后
以上就是积极大树为你收集整理的题目:用verilog实现4bit约翰逊(Johnson)计数器。的全部内容,希望文章能够帮你解决题目:用verilog实现4bit约翰逊(Johnson)计数器。所遇到的程序开发问题。
如果觉得靠谱客网站的内容还不错,欢迎将靠谱客网站推荐给程序员好友。
本图文内容来源于网友提供,作为学习参考使用,或来自网络收集整理,版权属于原作者所有。
发表评论 取消回复