概述
设计架构
设计一个计数器每隔0.5秒使得LED反转一次,一个周期需要1秒,fpga时钟是f=50MHZ,fpga周期为t=1/f=20ns。 所以LED反转一次一个周期需要计数m=1s/20ns-1=49 999 999次,led从亮到灭或者从灭到亮半个周期需要计数cnt=m/2-1=24 999 999。
在这里可以设计一个标志信号,每次计数到cnt-1=m/2-2的时候,产生一个周期高电平标志信号cnt_flag, 当cnt_flag为高电平的时候,下一个周期led取反即可。
波形图绘制
代码编写
module counter
#(
parameter CNT_MAX=25'd24999999
)
(
input clk,
input rst_n,
output reg led_out
);
reg [24:0]cnt;
always@(posedge clk or negedge rst_n)
if(!rst_n)
cnt<=0;
else if(cnt==CNT_MAX)
cnt<=0;
else
cnt<=cnt+1;
always@(posedge clk or negedge rst_n)
if(!rst_n)
led_out<=0;
else if(cnt==CNT_MAX)
led_out<=~led_out;
else
led_out<=led_out;
endmodule
仿真代码
`timescale 1ns/1ns
module tb_counter();
reg clk;
reg rst_n;
wire led_out;
initial begin
clk=1;
rst_n=0;
#20;
rst_n=1;
end
always #10 clk=~clk;
initial begin
$timeformat(-9,0,"ns",6);
$monitor("@time %t:led_out=%b",$time,led_out);
end
counter
#(
.CNT_MAX(25'd24)
)
counter
(
.clk(clk),
.rst_n(rst_n),
.led_out(led_out)
);
endmodule
最后
以上就是热心绿草为你收集整理的计数器设计的全部内容,希望文章能够帮你解决计数器设计所遇到的程序开发问题。
如果觉得靠谱客网站的内容还不错,欢迎将靠谱客网站推荐给程序员好友。
本图文内容来源于网友提供,作为学习参考使用,或来自网络收集整理,版权属于原作者所有。
发表评论 取消回复