我是靠谱客的博主 贪玩猫咪,最近开发中收集的这篇文章主要介绍T触发器10;10;10;,觉得挺不错的,现在分享给大家,希望可以做个参考。

概述

module cy4(input T,
           input clk,
           input rst_n,
           output reg Q
        );
always @(posedge clk or negedge rst_n)
  if(!rst_n) Q <= 1'b0;
  else if(T == 1) Q <= ~Q;
  else if(T == 0) Q <= Q;
  else;
endmodule

这里写图片描述
测试脚本代码:
`timescale 1 ns/ 1 ps
module cy4_vlg_tst();
reg T;
reg clk;
reg rst_n;
wire Q;
cy4 i1 (
.Q(Q),
.T(T),
.clk(clk),
.rst_n(rst_n)
);
initial
begin
clk = 0;
rst_n = 0;

10;

rst_n = 1;
T = 0;

10;

T = 1;

10;

stop; s t o p ; display(“Running testbench”);
end
always #20 clk = ~clk;
endmodule

最后

以上就是贪玩猫咪为你收集整理的T触发器10;10;10;的全部内容,希望文章能够帮你解决T触发器10;10;10;所遇到的程序开发问题。

如果觉得靠谱客网站的内容还不错,欢迎将靠谱客网站推荐给程序员好友。

本图文内容来源于网友提供,作为学习参考使用,或来自网络收集整理,版权属于原作者所有。
点赞(56)

评论列表共有 0 条评论

立即
投稿
返回
顶部