概述
分享一下带使能端的D触发器的设计
module reg_A(Ain, Clock, R, Q);
input wire Ain;
input wire Clock;
input wire [15:0] R;
output reg [15:0] Q;
initial Q =0;
always@(posedge Clock)
begin
if(Ain == 1)
Q <= R;
else
Q <= Q;
end
endmodule
测试代码如下
`timescale 1ns / 1ps
module test_regA(
);
reg Ain;
reg Clock;
reg [15:0] R;
wire [15:0] Q;
always #10 Clock = ~Clock;
initial begin
Clock = 1'b0;
Ain = 1'b0;
R = 16'h0000;
#10 Ain = 1'b1;
R = 16'h1212;
#10 Ain = 1'b0;
R = 16'h2233;
#10 Ain = 1;
end
reg_A i1 (Ain, Clock, R, Q);
endmodule
使用vivado仿真结果如下
最后
以上就是尊敬中心为你收集整理的带使能端的寄存器(verilog设计)的全部内容,希望文章能够帮你解决带使能端的寄存器(verilog设计)所遇到的程序开发问题。
如果觉得靠谱客网站的内容还不错,欢迎将靠谱客网站推荐给程序员好友。
本图文内容来源于网友提供,作为学习参考使用,或来自网络收集整理,版权属于原作者所有。
发表评论 取消回复