我是靠谱客的博主 潇洒小笼包,最近开发中收集的这篇文章主要介绍modelsim和matlab联合仿真,一个simulink和modelsim联合仿真的简单例子(转),觉得挺不错的,现在分享给大家,希望可以做个参考。

概述

首先,要安装matlab和modelsim,这个是不用说的了。

然后要在matlab里对modelsim进行配置,在命令窗口中输入configuremodelsim,根据提示一步一步进行即可。

然后在matlab的File/Set Path菜单中加入Modelsim所在的路径。

把matlab的当前路径切换到modelsim的可执行文件所在的目录,在命令窗口中输入

vsim('socketsimulink',4449)

则modelsim软件被启动,在modelsim中创建一个inverter.v文件:

module inverter(sin,sout,clk);

input [7:0] sin;

output [7:0] sout;

input clk;

reg [7:0] sout;

always @(posedge clk)

begin

sout<=~sin;

end

endmodule

这个程序很简单,就是个取反逻辑。

在modelsim的命令窗口输入:

vlib work

vmap work work

vlog inverter.v

如果有出错信息,注意检查modelsim的工作路径与文件的路径是否一致,代码是否有输入错误。

如果编译没有错误,就在命令窗口继续输入:

vsimulink work.inverter

现在modelsim的仿真已经运行起来了,但是这个仿真没有测试向量输入,实际上,modelsi

最后

以上就是潇洒小笼包为你收集整理的modelsim和matlab联合仿真,一个simulink和modelsim联合仿真的简单例子(转)的全部内容,希望文章能够帮你解决modelsim和matlab联合仿真,一个simulink和modelsim联合仿真的简单例子(转)所遇到的程序开发问题。

如果觉得靠谱客网站的内容还不错,欢迎将靠谱客网站推荐给程序员好友。

本图文内容来源于网友提供,作为学习参考使用,或来自网络收集整理,版权属于原作者所有。
点赞(66)

评论列表共有 0 条评论

立即
投稿
返回
顶部