我是靠谱客的博主 傲娇毛衣,最近开发中收集的这篇文章主要介绍VHDL借助十进制计数器实现100进制计数器 74160,觉得挺不错的,现在分享给大家,希望可以做个参考。

概述

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity count100 IS
port
(
	clk:in std_logic;
	rco:out std_logic
);
end;

architecture dataflow of count100 is
SIGNAL RCO1:STD_logic;
SIGNAL RCO2:STD_logic;
SIGNAL RCOTEMP:STD_logic;
SIGNAL RCOTEMP2:STD_logic;
COMPONENT counter10 is
port
(clk,res,set: in std_logic;
d:in std_logic_vector(3 downto 0);
p,t:std_logic;
c: out std_logic_vector(3 downto 0);
cout:out std_logic;
temp:buffer std_logic_vector(3 downto 0)
);
end COMPONENT;
BEGIN

RCO<=RCO1 AND RCO2;
COUNT1: COUnter10 PORT MAP(clk=>clk,P=>'1',T=>'1',COUT=>RCO1,res=>'1',set=>'1',d=>"0000");
COUNT2: COUnter10 PORT MAP(clk=>clk,P=>RCO1,T=>'1',COUT=>RCO2,res=>'1',set=>'1',d=>"0000");
END;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity count100 IS
port
(
	clk:in std_logic;
	rco:out std_logic
);
end;

architecture dataflow of count100 is
SIGNAL RCO1:STD_logic;
SIGNAL RCO2:STD_logic;
SIGNAL RCOTEMP:STD_logic;
SIGNAL RCOTEMP2:STD_logic;
COMPONENT counter10 is
port
(clk,res,set: in std_logic;
d:in std_logic_vector(3 downto 0);
p,t:std_logic;
c: out std_logic_vector(3 downto 0);
cout:out std_logic;
temp:buffer std_logic_vector(3 downto 0)
);
end COMPONENT;
BEGIN

RCO<=RCO1 AND RCO2;
COUNT1: COUnter10 PORT MAP(clk=>clk,P=>'1',T=>'1',COUT=>RCO1,res=>'1',set=>'1',d=>"0000");
COUNT2: COUnter10 PORT MAP(clk=>clk,P=>RCO1,T=>'1',COUT=>RCO2,res=>'1',set=>'1',d=>"0000");
END;

最后

以上就是傲娇毛衣为你收集整理的VHDL借助十进制计数器实现100进制计数器 74160的全部内容,希望文章能够帮你解决VHDL借助十进制计数器实现100进制计数器 74160所遇到的程序开发问题。

如果觉得靠谱客网站的内容还不错,欢迎将靠谱客网站推荐给程序员好友。

本图文内容来源于网友提供,作为学习参考使用,或来自网络收集整理,版权属于原作者所有。
点赞(49)

评论列表共有 0 条评论

立即
投稿
返回
顶部