我是靠谱客的博主 无心往事,最近开发中收集的这篇文章主要介绍html编写抢答器,四人抢答器VHDL编写程序控制Quartus II,觉得挺不错的,现在分享给大家,希望可以做个参考。

概述

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity sirenqiangda is

port(rst,rst2,clk,en,en1,ta,tb,lad,a,b,c,d,stop,add:in std_logic;

ring,warn:out std_logic;

digit1,digit2:out std_logic_vector(3 downto 0);

a_out, b_out, c_out, d_out:buffer std_logic_vector(3 downto 0);

states:buffer std_logic_vector(3 downto 0));

end sirenqiangda;

architecture one of sirenqiangda is

component qiangda is

port(rst,clk,en,a,b,c,d:in std_logic;

ring:out std_logic;

state:out std_logic_vector(3 downto 0));

end component;

component jishu is

port(rst,clk,en1,ta,tb,lad,stop:in std_logic;

warn:out std_logic;

digit1,digit2:out std_logic_vector(3 downto 0));

end component;

component jifen is

port(rst2,clk,en,add:in std_logic;

state:in std_logic_vector(3 downto 0);

a_out, b_out, c_out, d_out:buffer std_logic_vector(3 downto 0));

end component;

begin

U1: qiangda port map (rst,clk,en,a,b,c,d,ring,states);

U2: jishu   port map(rst,clk,en1,ta,tb,lad,stop,warn,digit1,digit2);

U3: jifen   port map (rst2,clk,en,add,states,a_out, b_out, c_out, d_out);

end one;

95d1098ed7cbcc93a3c84393e0bd5f5e.gif

2019-11-27 08:48 上传

点击文件名下载附件

下载积分: 黑币 -5

568.13 KB, 下载次数: 24, 下载积分: 黑币 -5

最后

以上就是无心往事为你收集整理的html编写抢答器,四人抢答器VHDL编写程序控制Quartus II的全部内容,希望文章能够帮你解决html编写抢答器,四人抢答器VHDL编写程序控制Quartus II所遇到的程序开发问题。

如果觉得靠谱客网站的内容还不错,欢迎将靠谱客网站推荐给程序员好友。

本图文内容来源于网友提供,作为学习参考使用,或来自网络收集整理,版权属于原作者所有。
点赞(44)

评论列表共有 0 条评论

立即
投稿
返回
顶部