概述
SOC课程实验
PC程序计数器设计
一、功能分析
1、全局异步复位功能
ADDR<=“000000000000”;
数据总线高阻态;
2、加1功能
clk_PC上升沿有效;
M_PC高电平有效,PC+1->ADDR;
3、地址更新功能
clk_PC上升沿有效,nLD_PC低电平有效,新的PC->ADDR
4、PC数值送到数据总线
nPCH和nPCL低电平有效,注意分两次输出到总线上,先高8位后低8位。
二、程序实现
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity module_PC is port(
clk_PC,nreset,nLD_PC,M_PC,nPCH,nPCL:in std_logic;
PC:in std_logic_vector(11 downto 0);
ADDR:out std_logic_vector(11 downto 0);
data:out std_logic_vector(7 downto 0));
end entity module_PC;
architecture behavior of module_PC is
signal pc_buffer: std_logic_vector(11 downto 0);
begin
process(clk_PC,nreset)
begin
if(nreset='1')then
pc_buffer<=PC;
ADDR<=(others=>'0');
data<=(others=>'Z');
else
if(clk_PC'event and clk_pc='1')then
if(M_PC='1')then
pc_buffer <= pc_buffer +1;
ADDR<=pc_buffer;
elsif(nLD_PC='0')then
ADDR<=pc_buffer;
elsif(nPCH='0')then
data<="0000" & pc_buffer(11 downto 8);
elsif(nPCL='0')then
data<=pc_buffer(7 downto 0);
end if;
end if;
end if;
end process;
end architecture behavior;
最后
以上就是活力自行车为你收集整理的SOC课程实验——PC程序计数器设计SOC课程实验的全部内容,希望文章能够帮你解决SOC课程实验——PC程序计数器设计SOC课程实验所遇到的程序开发问题。
如果觉得靠谱客网站的内容还不错,欢迎将靠谱客网站推荐给程序员好友。
本图文内容来源于网友提供,作为学习参考使用,或来自网络收集整理,版权属于原作者所有。
发表评论 取消回复