我是靠谱客的博主 碧蓝太阳,最近开发中收集的这篇文章主要介绍VHDL——8位奇偶校验电路,觉得挺不错的,现在分享给大家,希望可以做个参考。

概述

1.引脚图

a:长度为8的标准逻辑矢量位矢量;
z:输出校验位

2.VHDL语言
2.1 一般顺序语句

library ieee;
use ieee.std_logic_1164.all;

entity p_check is
    port(a : in std_logic_vector(7 downto 0);  --定义a为长度8的标准逻辑矢量位矢量
	     y : out std_logic);
end p_check;

architecture behave of p_check is
begin
  process(a)
    variable temp : std_logic;
  begin
    temp := '0';
	 temp := temp xor a(0); 
	 temp := temp xor a(1);
	 temp := temp xor a(2);
	 temp := temp xor a(3);
	 temp := temp xor a(4);
	 temp := temp xor a(5);
	 temp := temp xor a(6);
	 temp := temp xor a(7);
	 y <= temp;
  end process;
end behave;

2.2 for loop语句

architecture behave of p_check is
begin
  process(a)
    variable temp : std_logic;
  begin
    temp := '0';
	 for n in 0 to 7 loop   --循环7次,n为循环变量
	   temp := temp xor a(n);
	 end loop;
	 y <= temp;
  end process;
end behave;

2.3 while loop语句

architecture behave of p_check is
begin
  process(a)
    variable temp : std_logic;
	 variable n : integer;         --定义循环变量n为整数
  begin
    temp := '0';
	 n := 0;
	 while n<8 loop
	   temp := temp xor a(n);
		n := n+1;
	 end loop;
	 y <= temp;
  end process;
end behave;

最后

以上就是碧蓝太阳为你收集整理的VHDL——8位奇偶校验电路的全部内容,希望文章能够帮你解决VHDL——8位奇偶校验电路所遇到的程序开发问题。

如果觉得靠谱客网站的内容还不错,欢迎将靠谱客网站推荐给程序员好友。

本图文内容来源于网友提供,作为学习参考使用,或来自网络收集整理,版权属于原作者所有。
点赞(81)

评论列表共有 0 条评论

立即
投稿
返回
顶部