数字逻辑课程设计电子钟 (1) 模8计数器library ieee;use ieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entity mo8 isport( clk,clr:in std_logic; ql:out std_logic_vector(2 downto 0); 设计 2023-06-02 37 点赞 0 评论 56 浏览