个性故事

文章
3
资源
0
加入时间
2年10月21天

VHDL——4位二进制加法计数器的实现

VHDL语言library ieee;use ieee.std_logic_1164.all;entity cnt4_1 is port(clk : in bit; q : buffer integer range 15 downto 0); --整数大小范围0~15end cnt4_1;architecture behave of cnt4_1 isbegin process(clk) begin if clk'event and clk = '1' then -

CorelDRAW怎么绘制一个量角器?

大家都知道,CorelDRAW简称CDR。相信很多朋友在学习CDR软件的时候,都遇到过和我一样的情况——不会绘制量角器。即使现在网络上有很多视频