概述
模100 计数器
module counter100 #(parameter N=100, WIDTH=7)(
input clk,
input rst_n_a,
output reg [WIDTH-1:0] counter,
output reg en); //计数完成一次输出使能
always@(posedge clk or negedge rst_n_a)
if(!rst_n_a)
begin counter<=0; en<=0;end
else if(counter==N-1)
begin counter<=0; en<=1; end
else begin counter<=counter+1; en<=0; end
endmodule
测试代码(sv)
module tb_counter100(
);
logic clk, rst_n_a, en;
logic [6:0] counter;
counter100 #(.N(100), .WIDTH(7)) counter100(clk, rst_n_a, counter, en);
initial
begin
clk=0;
forever #5 clk=~clk;
end
initial
begin
rst_n_a=0;
#34
rst_n_a=1;
end
endmodule
最后
以上就是花痴香氛为你收集整理的模100 计数器的全部内容,希望文章能够帮你解决模100 计数器所遇到的程序开发问题。
如果觉得靠谱客网站的内容还不错,欢迎将靠谱客网站推荐给程序员好友。
本图文内容来源于网友提供,作为学习参考使用,或来自网络收集整理,版权属于原作者所有。
发表评论 取消回复