Modelsim文件读取问题与matlab文件写入
reg [15:0] data_mem[3:0];//[1239:0];initial begin $readmemh("ztq1.txt",data_mem); //将sin.txt中的数据读入存储器data_mem end reg [11:0] i;always @(posedge clk or negedge rst_n) begin if(!rst_n)