UVM和SystemVerilog中的返回值使用方法
UVM中成功返回非零值:UVM中返回值的使用:virtual function void build_phase(uvm_phase phase); super.build_phase(phase); `uvm_info("my_driver", "build_phase is called", UVM_LOW); if(!uvm_config_db#(virtual my_if)::get(this, "", "vif