VHDL——设计4输入端的与非与非电路(元件例化)
1.思路利用2输入端与非门元件,设计4输入端的与非与非电路1.11.21.32.实现过程2.1 设计2输入端与非门library ieee;use ieee.std_logic_1164.all;entity nd2 is port(a,b : in std_logic; c : out std_logic);end nd2;architecture nd2bahave of nd2 is begin c <= a nand b; en