含蓄唇彩

文章
4
资源
0
加入时间
3年0月20天

微信小程序——支付结果查询

支付结果查询的方法:(没有使用小程序支付方法)1. 定时器查询(轮询)setInterval+clearIntervalclearInterval:支付完成/失败, (不在查询页面的时候)onUnload、2. webSocke连接             HTTP协议:一种无状态,无连接的,单向运用协议。webSocke:html5提供的一种在单个TCP(传输控制协议)连接上进行...

verilog 串并转换电路

module serial2parallel( input clk, input rst_n, input en, input data_in, //一位输入 output reg [7:0] data_out //8位并行输出 );always @(posedge clk or negedge rst_n) begin if (rst_n == 1'b0) da